OpenI 启智社区

启智社区,确实给力

项目简介

PinTu电子设计自动化(EDA)软件,是国际上最早的面向BBD型自定时型异步电路的EDA工具链。此工具提供了丰富的异步微流水线模版和全异步算子模块,使用者采用Verilog HDL并结合模版可设计出RTL级异步电路。此工具针对异步设计进行综合、延迟匹配与时序验证和仿真功能,完成从RTL级到门级工艺网表的综合,提供面向FPGA的布图和比特流生成功能,以及商用EDA的接口。

项目介绍

PinTu电子设计自动化(EDA)软件,是国际上最早的面向BBD型自定时型异步电路的EDA工具链。此工具提供了丰富的异步微流水线模版和全异步算子模块,使用者采用Verilog HDL并结合模版可设计出RTL级异步电路。此工具针对异步设计进行综合、延迟匹配与时序验证和仿真功能,完成从RTL级到门级工艺网表的综合,提供面向FPGA的布图和比特流生成功能,以及商用EDA的接口。

异步优势及发展现状

随着半导体工艺的持续进步,同步电路由于全局时钟导致的时钟扭曲、时钟功耗等问题日益突出,尤其在超大规模同步电路设计中瓶颈凸显。而异步电路工作基于事件驱动,具有同步电路无法企及的低功耗、高可靠、高鲁棒性、高可扩展性的特性,在物联网、医疗、安全领域、恶劣环境高可靠性电路等方面具有广泛的应用前景,得到国外知名企业及研究团队的关注和投入,如曼彻斯特大学的SpiNNaker 、IBM 的TrueNorth、Intel的Loihi等芯片等。


异步电路采用局部通信模式,以握手协议完成异步控制,不需要庞大的时钟分布网络,避免了时钟歪斜的问题,体现出模块化强和电磁辐射低的优势。除此之外,这种电路空闲时几乎没有消耗,散热极低,整个系统的功耗得到有效控制。异步电路适合“大并发”形式的计算。但目前异步电路仍处于发展阶段,国内外尤其缺乏面向最新的自定时型异步电路的专用设计自动化工具,严重制约了该领域的发展与应用。

PinTu项目结构


FPGA上PinTu操作流程


详细操作流程参考代码仓库中的README.md



交流社区

项目动态